閂鎖器

Verilog

基本語法

型態

全域變數

基本元件

多樣的寫法

指定

assign

always

initial

運算式

分枝

迴圈

模組

函數

Task

陣列

輸出入

觀察

真值表

測試程式

訊息顯示

注意事項

模擬程序

硬體工程

程式範例

Xor

Xor3

全加器

加法器

加減器

快速加法器

乘法器

ALU

閂鎖器

脈衝偵測

計數器

多工器

暫存器群

記憶體

延遲問題

浮點數

狀態機

程式計數器

CPU0-Mini

CPU0

pipeline

工具

QuartusII

Icarus

Veritek

訊息

相關網站

參考文獻

最新修改

簡體版

English

Latch.jpg

SR 閂鎖器

module latch(input Sbar, Rbar, output Q, Qbar);

nand g1(Q, Sbar, Qbar);
nand g2(Qbar, Rbar, Q);

endmodule

module main;
reg Sbar, Rbar;
wire Q, Qbar;

latch DUT(Sbar, Rbar, Q, Qbar);

initial
begin
  Sbar = 0;
  Rbar = 0;
end

always #50 begin
  Sbar = Sbar+1;
  $monitor("%4dns monitor: Sbar=%d Rbar=%d Q=%d Qbar=%d", 
           $stime, Sbar, Rbar, Q, Qbar);
end

always #100 begin
  Rbar = Rbar + 1;
end

initial #1000 $finish;

endmodule

Icarus 執行結果

D:\ccc101\Verilog>iverilog latch.v -o latch

D:\ccc101\Verilog>vvp latch
  50ns monitor: Sbar=1 Rbar=0 Q=0 Qbar=1
 100ns monitor: Sbar=0 Rbar=1 Q=1 Qbar=0
 150ns monitor: Sbar=1 Rbar=1 Q=1 Qbar=0
 200ns monitor: Sbar=0 Rbar=0 Q=1 Qbar=1
 250ns monitor: Sbar=1 Rbar=0 Q=0 Qbar=1
 300ns monitor: Sbar=0 Rbar=1 Q=1 Qbar=0
 350ns monitor: Sbar=1 Rbar=1 Q=1 Qbar=0
 400ns monitor: Sbar=0 Rbar=0 Q=1 Qbar=1
 450ns monitor: Sbar=1 Rbar=0 Q=0 Qbar=1
 500ns monitor: Sbar=0 Rbar=1 Q=1 Qbar=0
 550ns monitor: Sbar=1 Rbar=1 Q=1 Qbar=0
 600ns monitor: Sbar=0 Rbar=0 Q=1 Qbar=1
 650ns monitor: Sbar=1 Rbar=0 Q=0 Qbar=1
 700ns monitor: Sbar=0 Rbar=1 Q=1 Qbar=0
 750ns monitor: Sbar=1 Rbar=1 Q=1 Qbar=0
 800ns monitor: Sbar=0 Rbar=0 Q=1 Qbar=1
 850ns monitor: Sbar=1 Rbar=0 Q=0 Qbar=1
 900ns monitor: Sbar=0 Rbar=1 Q=1 Qbar=0
 950ns monitor: Sbar=1 Rbar=1 Q=1 Qbar=0
1000ns monitor: Sbar=0 Rbar=0 Q=1 Qbar=1

Facebook

Unless otherwise stated, the content of this page is licensed under Creative Commons Attribution-NonCommercial-ShareAlike 3.0 License