計數器

Verilog

基本語法

型態

全域變數

基本元件

多樣的寫法

指定

assign

always

initial

運算式

分枝

迴圈

模組

函數

Task

陣列

輸出入

觀察

真值表

測試程式

訊息顯示

注意事項

模擬程序

硬體工程

程式範例

Xor

Xor3

全加器

加法器

加減器

快速加法器

乘法器

ALU

閂鎖器

脈衝偵測

計數器

多工器

暫存器群

記憶體

延遲問題

浮點數

狀態機

程式計數器

CPU0-Mini

CPU0

pipeline

工具

QuartusII

Icarus

Veritek

訊息

相關網站

參考文獻

最新修改

簡體版

English

專案下載:counterQuartusII.zip — Altera Quartus II 11.0 版專案。

Verilog 程式模組

module counter(input clk, rst, output reg [2:0] q);
    always @(posedge clk) begin
        if (rst)
            q = 3'b000;
        else
            q = q+1;
    end
endmodule

Verilog 測試程式

`timescale 1ns/10ps

module counterTest;
reg clk;
reg rst;
wire [2:0] q;

counter DUT (.clk(clk), .rst(rst), .q(q));

initial
begin
  clk = 0;
  rst = 1;
end

initial #100 rst = 0;

always #50 clk=clk+1;

endmodule

執行結果

counterWave.jpg

程式:針對 Icarus 修改的

檔案:counter.v

module counter(input clk, rst, output reg [2:0] q);
    always @(posedge clk) begin
        if (rst)
            q = 3'b000;
        else
            q = q+1;
    end
endmodule

module counterTest;
reg clk;
reg rst;
wire [2:0] q;

counter DUT (.clk(clk), .rst(rst), .q(q));

initial
begin
  clk = 0;
  rst = 1;
end

initial #100 rst = 0;

always #50 clk=clk+1;

always @(negedge clk) begin
   $display("q=%d", q);
end

initial #2000 $finish;

endmodule

Icarus 執行結果

D:\ccc101\icarus\ccc>iverilog -o count count.v

D:\ccc101\icarus\ccc>vvp count
q=0
q=1
q=2
q=3
q=4
q=5
q=6
q=7
q=0
q=1
q=2
q=3
q=4
q=5
q=6
q=7
q=0
q=1
q=2
q=3

Facebook

Unless otherwise stated, the content of this page is licensed under Creative Commons Attribution-NonCommercial-ShareAlike 3.0 License